Lam Research (LRCX) · · 7 min read

Lam Research's Outlook: CFO Doug Bettinger Insights

Explore Lam Research's market position, technology investments, and growth strategies as revealed by CFO Doug Bettinger at a recent conference. Gain valuable insights into the semiconductor equipment industry's future.

Lam Research's Outlook: CFO Doug Bettinger Insights

Lam Research, a key player in the semiconductor equipment industry, recently participated in the Goldman Sachs Communacopia + Technology Conference. Doug Bettinger, Executive VP & CFO, represented the company, offering valuable insights into Lam's current position, future outlook, and the broader semiconductor landscape. This post delves into the key takeaways from the session, analyzing their potential implications for Lam Research's future performance and market position.

Market Outlook and Wafer Fab Equipment (WFE) Expectations

Lam Research maintains its outlook for the Wafer Fab Equipment (WFE) market in 2024, projecting it to be in the mid $90 billion range. This projection reflects a slight uptick from earlier estimates, indicating a cautiously optimistic view of the industry's near-term prospects.

"Our outlook is mid-90s. It did tick up a little bit as we went through the year, but that's how we see things today." - Doug Bettinger

Breaking down the outlook by segment:

  1. Leading-edge Foundry and Logic: This segment is showing particular strength, driven largely by demand for accelerator chips used in AI applications. Bettinger expects this trend to continue or even strengthen into 2025.
  2. DRAM: The DRAM market is also robust, supported by the transition from DDR4 to DDR5 and the growing demand for high-bandwidth memory (HBM) in AI applications.
  3. NAND: While NAND has been weak for nearly two years, Bettinger sees potential for improvement in 2025, primarily driven by technology conversions.
  4. Mature Nodes: The outlook for mature nodes varies by geography. China is showing strong investment in this area, while other regions are experiencing softness due to ongoing inventory adjustments in industrial and automotive sectors.

This segmented view of the market suggests that Lam Research is well-positioned to capitalize on the strengths in leading-edge technologies and DRAM, while also being prepared for a potential upturn in NAND.

Technology Inflections and R&D Investments

Lam Research is strategically investing in R&D to position itself for future growth opportunities. The company has identified several key technology inflections that it believes will drive significant business in the coming years:

  1. Backside Power Delivery: Another significant architectural change in logic chips, also representing a potential $1 billion opportunity per 100,000 wafer starts.
  2. Advanced Packaging: This includes technologies like chiplets and high-bandwidth memory, which Lam expects to generate $1 billion in revenue this year, with growth projected for next year.
  3. Dry Resist Technology: While the adoption has been slower than initially anticipated, Lam remains confident in its potential, projecting a cumulative $1.5 billion opportunity over a 5-year horizon.
  4. 3D DRAM: Although this is a longer-term prospect (late in the decade), Lam is already investing in R&D to prepare for this significant shift in memory architecture.

Gate-All-Around (GAA): This represents a major shift in logic architecture, moving to a true 3D structure. Lam sees this as a $1 billion opportunity for every 100,000 wafer starts of capacity.

"When we look at this opportunity for every 100,000 wafer starts of capacity the industry puts in place, we see an incremental opportunity for our equipment of $1 billion." - Doug Bettinger

These investments in future technologies demonstrate Lam's commitment to maintaining its competitive edge and capturing emerging opportunities in the semiconductor equipment market.

China: A Key Growth Driver with Nuances

China continues to be a significant market for Lam Research, accounting for 39% of the company's revenue in the most recent quarter. However, the nature of this business and its future prospects require careful consideration:

  1. Focus on Mature Nodes: Much of the investment in China is centered on 28nm and above technologies, catering to applications in industrial, automotive, analog, microcontrollers, RF, and CMOS image sensors.
  2. Shifting Spending Profile: While China's spending was second-half weighted in 2023, it's more first-half weighted in 2024, which may lead to a reduction in China's percentage of Lam's revenue as the year progresses.

Long-Term Commitment: Despite concerns about the sustainability of this spending, Bettinger believes it's likely to continue, citing multi-year roadmaps from Chinese customers.

"When we talk to this set of customers, they all have road maps that are many years in duration. So the intention is clearly, I believe, for this set of customers in China to supply a lot of the demand in China with supply in China." - Doug Bettinger

Diverse Customer Base: Lam's China business involves a broad set of customers, many of whom are relatively new to chip manufacturing.

"It's a broad set of customers in China. A lot of which -- not all, but a lot of which I would describe as new customers. So they're spending with an eye towards growing a business into the future." - Doug Bettinger

The China market presents both opportunities and challenges for Lam Research. While it's currently a strong growth driver, the concentration of revenue from this region and potential geopolitical risks are factors to monitor closely.

Customer Support Business Group (CSBG): A Key Profit Driver

The Customer Support Business Group (CSBG) is an increasingly important part of Lam Research's business model, accounting for about 40% of the company's revenue in the most recent quarter. This segment includes four main components:

  1. Spare parts
  2. Service
  3. Equipment upgrades
  4. Reliant product line (mature equipment)
"CSBG at Lam is the customer support business group. It's composed of 4 lines of business, if you will; Spare parts, service, equipment upgrades, and the reliant product line, which is the mature equipment that we've been selling for years actually at this point." - Doug Bettinger

Key points about CSBG:

  • High Profitability: CSBG is a highly profitable segment due to lower R&D requirements compared to new equipment development.
  • Long-Lasting Equipment: Lam's equipment tends to have a very long lifespan, providing ongoing opportunities for upgrades, service, and spare parts sales.
  • Advanced Service Offerings: Lam is leveraging AI and predictive maintenance capabilities to enhance its service offerings, adding value for customers and potentially increasing revenue per chamber.

Growth Strategy: Lam aims to grow CSBG revenue faster than the increase in its installed base of chambers.

"Our strategic intention with this business is to grow revenue dollars faster than just the chamber count in the field." - Doug Bettinger

The strength and growth potential of the CSBG segment provide Lam Research with a more stable and predictable revenue stream, helping to offset some of the cyclicality inherent in the semiconductor equipment industry.

Competitive Landscape and Technological Edge

Lam Research has historically gained market share in both etch and deposition technologies. The company's competitive position remains strong, particularly in emerging technologies:

  1. Chinese Competition: While there are emerging Chinese equipment companies, Bettinger believes they are still significantly behind global leaders in terms of technology.

Technology Leadership: Lam emphasizes its commitment to continuous innovation to maintain its technological edge.

"In my 30-odd years in the industry, the best technology almost always wins. And we intend that to be more often than not coming from Lam Research." - Doug Bettinger

Cryo Etch: Despite claims from competitors, Lam asserts its leadership in this area.

"There's only one company in the industry that has anything in production and it's Lam Research. We've got 1,000 chambers of cryo etch in production today. We own all of it." - Doug Bettinger

Lam's focus on maintaining technological leadership, particularly in critical areas like cryo etch, positions the company well to defend and potentially expand its market share in key segments.

AI Integration and Future Opportunities

Artificial Intelligence is not just driving demand for Lam's equipment; it's also being integrated into the company's operations and products:

  1. Advanced Service Offerings: AI is being used to enhance Lam's service capabilities, likely improving efficiency and value-add for customers.
  2. Simulation and Process Development: Lam's Semiverse Solutions leverages AI for improved simulation capabilities.
  3. R&D Process: AI is being used to accelerate process recipe development, potentially reducing time-to-market for new solutions.
  4. Software Development: AI is assisting in code generation for the software components of Lam's equipment.

This integration of AI across various aspects of Lam's business demonstrates the company's commitment to leveraging cutting-edge technologies to enhance its products, services, and internal processes.

Capital Intensity and Industry Dynamics

The semiconductor industry's capital intensity has been a topic of discussion, with implications for equipment providers like Lam Research. Bettinger offered several insights on this topic:

  1. Industry Profitability: Despite rising capital intensity, the semiconductor industry's profitability has improved, suggesting that companies are being compensated for the increased complexity and investment.

WFE per Profit Dollar: Bettinger suggests focusing on WFE spending per profit dollar rather than per revenue dollar, arguing that this metric has remained relatively stable.

"The metric I think people need to look at more than WFE per revenue dollar is WFE per profit dollar. And actually, Toshiya, if you look at that, and I know you probably have, but I'd encourage everybody in the room to go look at that, that's not going up." - Doug Bettinger

Increasing Capital Intensity: Each new process node requires more capital investment per wafer.

"What I know for sure is capital intensity per wafer put in place is growing at every subsequent process node. As you go forward, it's getting more expensive to create these structures." - Doug Bettinger

This perspective on capital intensity suggests that while equipment spending is likely to continue growing, it remains economically viable for semiconductor manufacturers due to improved profitability in the industry overall.

Conclusion

Lam Research's participation in the Goldman Sachs Communacopia + Technology Conference provided valuable insights into the company's position in the semiconductor equipment market and its strategies for future growth. Key takeaways include:

  1. A cautiously optimistic outlook for the WFE market in 2024, with strength in leading-edge foundry/logic and DRAM.
  2. Strategic R&D investments in key technology inflections like Gate-All-Around, backside power delivery, and advanced packaging.
  3. The continued importance of the China market, despite potential risks and uncertainties.
  4. The growing significance of the Customer Support Business Group as a stable revenue and profit generator.
  5. Lam's strong competitive position, particularly in emerging technologies like cryo etch.
  6. The integration of AI across various aspects of Lam's business, both as a driver of demand and a tool for internal improvement.

Lam Research appears well-positioned to capitalize on the ongoing evolution of the semiconductor industry, with a strong focus on technological leadership and a growing recurring revenue base. However, potential headwinds, including geopolitical tensions affecting the China market and cyclicality in certain segments like NAND, will require careful navigation.

Those following Lam Research may want to pay close attention to the company's execution in emerging technologies, the growth trajectory of its CSBG segment, and its ability to maintain technological leadership in key areas like etch and deposition. Additionally, the broader trends in AI adoption and the semiconductor industry's capital intensity will likely continue to shape Lam's opportunities and challenges in the coming years.

Read next